Imec shows interconnects for 2nm processes

Imec has demonstrated, for the first time, electrically functional 2-metal-level interconnects fabricated with Ru semi-damascene and airgap technology showing a long lifetime and good mechanical strength. A complementary 12-metal-layer analysis confirms the system-level benefits of this semi-damascene technology in terms of RC, power consumption and IR drop. Ru was also shown as a promising alternative ...

This story continues at Imec shows interconnects for 2nm processes

Or just read more coverage at Electronics Weekly