Imec and ASML show EUV limits

Imec and ASML have demonstrated the ultimate single-exposure patterning capability of today’s 0.33NA NXE:3400 EUV lithography. Process optimizations have enabled the patterning of dense 28nm pitch line/spaces with an Inpria metal-oxide resist in one single exposure, relevant for high-volume manufacturing. For the first time, optical and e-beam inspections were correlated with electrical data to gain further ...

This story continues at Imec and ASML show EUV limits

Or just read more coverage at Electronics Weekly