Ru breakthrough for 3nm interconnect

Ruthenium (Ru) could be an  interconnect material for 3nm and beyond technology nodes, reports Imec. High-aspect ratio Ru lines have been shown to outperform conventional Cu metallization in two different implementation scenarios, i.e., (1) in buried power rail applications, and (2) as interconnects for advanced memory and logic applications by using subtractive metal etch. Due ...

This story continues at Ru breakthrough for 3nm interconnect

Or just read more coverage at Electronics Weekly